Вам бонус- начислено 1 монета за дневную активность. Сейчас у вас 1 монета

EUV-литография - как вид нанолитографии, множественное экспонирование

Лекция



Привет, мой друг, тебе интересно узнать все про euv-литография, тогда с вдохновением прочти до конца. Для того чтобы лучше понимать что такое euv-литография, нанолитография, множественное экспонирование , настоятельно рекомендую прочитать все из категории Конструирование и проектирование электронной аппаратуры.

нанолитография (в микроэлектронике) - способ массового изготовления интегральных микросхем с использованием в литографическом оборудовании источника излучения с длиной волны менее 13,5 нм и проекционной оптической системы на основе отражающих многослойных зеркал.

В соответствии с последним определением, нанолитография - это создание "правильных" групп атомов и молекул на подложке из обычного вещества.


Нанолитографией в широком смысле слова называют создание любых структур, имеющих размеры порядка нескольких нанометров.
Это шаг к разработке и конструированию первых деталей наномашин, в том числе ассемблера (нанороботов).

EUV-литография - как вид нанолитографии,  множественное экспонирование

Не раз исследователи и аналитики предсказывали, что в ближайшие годы процесс миниатюризации микросхем достигнет физических пределов, которые уже нельзя преодолеть. Однако пока этого не случилось. Высочайшая степень интеграции позволяет год от года наращивать мощность микропроцессоров и выпускать кристаллы памяти, способные хранить миллиарды бит данных. Так, согласно сетевому графику развития индустрии, утвержденному полупроводниковой промышленной ассоциацией SIA (Semiconductor Industry Association), в 2002 г. стандартными проектными нормами должны стать 0,13 мкм, в 2005 г. - 0,1 мкм, в 2008 г. - 0,07 мкм и в 2014 г. - 0,035 мкм. Последние цифры, в частности, означают, что при производстве терабитных микросхем на одном квадратном сантиметре будет расположено до 390 млн. транзисторов.

EUV-литография - как вид нанолитографии,  множественное экспонирование

Рис.4. Динамика развития систем литографии в соответствии с международной дорожной картой (ITRS).

Тем не менее, помимо чисто физических проблем, процесс уменьшения размеров транзисторов и увеличения степени их интеграции уже наталкивается на ограничения, связанные с особенностями производства микросхем. Вообще говоря, свойства устройств, которые создаются на одной кремниевой пластине, равно как и на разных пластинах, не идентичны. Отклонения могут возникать на каждом из этапов производства. Характер вероятных различий между производимыми кристаллами и частота появления просто бракованных устройств могут стать реальной преградой на пути дальнейшей миниатюризации элементов интегральной схемы. Отметим, что миниатюризация касается не только длины и ширины элемента схемы, но и толщины самого кристалла. Транзисторы и соединения на нем реализуются с помощью серии уровней, в современных микросхемах их может быть пять или шесть. Уменьшение размеров транзисторов и увеличение плотности их размещения на кристалле влечет за собой увеличение числа уровней. Однако чем больше слоев в схеме, тем тщательнее должен быть контроль за ними в процессе производства, поскольку на каждый из уровней будут оказывать влияние нижележащие. Стоимость усовершенствования средств контроля и создания соединений между множеством уровней может оказаться фактором, сдерживающим увеличение числа слоев.

Кроме всего прочего, усложнение интегральных схем требует совершенствования условий производства, к которым и так предъявляются беспрецедентно высокие требования. Необходим более точный механический контроль за позиционированием исходной кремниевой пластины. Стерильное помещение (так называемая чистая комната), где создаются микросхемы, должно стать еще чище, дабы исключить попадание мельчайших частичек пыли, способных разрушить сложнейшую схему.

Стоимостные и технологические вопросы в полупроводниковой промышленности тесно взаимосвязаны. Для развития современных технологий микроэлектроники и создания новых производств нужны огромные инвестиции для приобретения специального технологического оборудования и строительства чистых помещений. Сложность и стоимость полупроводникового производства постоянно растут. Самую высокую долю в стоимости полупроводниковой фабрики составляет технологическое и контрольно-измерительное оборудование. По некоторым оценкам, процентная доля этого оборудования в общей стоимости предприятия может достигать 50-70%. Считается, что для наращивания производства полупроводниковым фирмам необходимо выделять на капитальные нужды более 20% своего годового дохода, а меньших средств достаточно лишь для его поддержания. Например, компания Sony сообщила, что в этом году она собирается инвестировать в производство полупроводников более 880 млн. долл. IBM также объявила о масштабных планах расширения своего полупроводникового производства. Для этих целей она предполагает выделить 5 млрд. долл. Причем половина указанной суммы пойдет на строительство современного завода в Ист-Фишкилле, на котором будут использоваться все последние достижения в области производства полупроводников, включая технологию медных межсоединений,SOI и технологию высокоэффективного изолятора. Пуск нового завода запланирован на вторую половину 2002 г., а на полную мощность он будет выведен в начале 2003 г.

EUV-литография - как вид нанолитографии,  множественное экспонирование

EUV-литография - как вид нанолитографии,  множественное экспонирование

Литография в области глубокого УФ ( euv-литография )

EUV-технология приведет к появлению микропроцессоров в 30 раз быстрее существующих. Процессор в 10 ГГц, например, будет настолько быстрым, что, например, за время, пока человек успевает моргнуть глазом (около 1/5 секунды), он сможет произвести порядка 2 млрд. вычислений.
EUV-литография предназначена для печати на кремниевой подложке элементов размером 0,07 мкм (70 нм) и менее. Об этом говорит сайт https://intellect.icu . Это все равно, что рисовать изображение размером с двухруб-левую монету на поверхности Земли с космического корабля, а затем поверх него печатать другую картинку, четко совмещая их между собой. На одном кристалле соли (с ребром 0,25 мм) разместилось бы около 3600 таких 70-нанометровых элементов.
Переход к EUV- литографии позволил пересечь 100 нм рубеж, оставаясь в рамках традиционной фотолитографии. Однако сложная зеркальная оптика и технология изготовления фотошаблонов делает такой подход исключительно дорогим, оставляя место для разработки литографических процессов, основанных на иных физических принципах.

Intel объявила о том, что в текущем году она планирует инвестировать в полупроводниковое производство около 6,8 млрд. долл., что почти в два раза больше объема прошлогодних инвестиций, составившего 3,4 млрд. долл. Компания намерена вложить 2 млрд. долл. в расширение своего производства в Рио-Ранчо (шт. Нью-Мексико). Существующие производственные площади должны быть увеличены более чем на 90 тыс. кв. м, включая свыше 12 тыс. кв. м, отведенных под чистую комнату. Расширение связано с переходом на новый технологический процесс с соблюдением проектных норм 0,13 мкм для изготовления микропроцессоров на 300-миллиметровых подложках.

Технология литографии

Сегодня процесс изготовления микросхем включает несколько технологических этапов, в число которых входят: литография, ионная имплантация, диффузия и окисление, осаждение, травление, очистка, планаризация и измерения. Важнейшие научные и инженерные разработки ведутся в направлении усовершенствования ключевого этапа производства интегральной схемы - литографии, поскольку именно здесь реально возможно достижение определенного предела в обозримом будущем. Как отмечают эксперты, литография полностью исчерпает свои возможности уже к 2003 г.

Развитие литографической технологии со времени ее изобретения в начале 70-х шло в направлении сокращения длины световой волны. Это позволяло уменьшать размеры элементов интегральной схемы. С середины 80-х в фотолитографии используется ультрафиолетовое излучение, получаемое с помощью лазера. Для того чтобы нанести рисунок схемы на пластину, с помощью управляемой компьютером машины (степпера) выполняется фотолитография. Целью ее является создание в слое материала фоторезиста "окна" заданной конфигурации для доступа травителя к расположенной под этим слоем полупроводниковой пластине с окисной пленкой. Такие "окна" образуются при экспонировании фоторезиста в потоке ультрафиолетового излучения лазера, в результате фоторезист теряет (или приобретает) растворимость. Конфигурацию "окон" задают соответствующие маски, после применения которых полученное изображение конденсируется с помощью специальной системы линз.

Именно она уменьшает заданный на маске шаблон до микроскопических размеров схемы. Кремниевая пластина закрепляется на позиционном столе под системой линз и перемещается с его помощью таким образом, чтобы были последовательно обработаны все размещенные на пластине микропроцессоры. Ультрафиолетовые лучи от лазера проходят через свободные пространства на маске. Под их действием светочувствительный слой в соответствующих местах пластины приобретает способность к растворению и затем удаляется органическими растворителями. Современная фотолитографическая машина обрабатывает за один час несколько десятков восьмидюймовых полупроводниковых пластин.

Сейчас большинство кристаллов производятся с помощью ультрафиолетовых лучей с длиной волны 0,248 мкм. Для создания ряда кристаллов разработана литографическая технология, обеспечивающая длину волны 0,193 мкм. Однако когда фотолитография перешагнула границу 0,2 мкм, возникли серьезные проблемы, которые впервые за историю этой технологии поставили под сомнение возможность ее дальнейшего использования. Например, при длине волны меньше 0,2 мкм слишком много света поглощается светочувствительным слоем, поэтому усложняется и замедляется процесс передачи шаблона схемы на процессор. Подобные проблемы побуждают исследователей и производителей искать альтернативы традиционной литографической технологии. Например, возможность замены ультрафиолетовых лучей рентгеновскими исследуется в научных лабораториях США уже более двух десятилетий.

Выход найден

Одна из технологий, названная EUV (Extreme Ultra Violet) и объединившая вокруг себя несколько известных компаний, нацелена на улучшение процесса фотолитографии при производстве микросхем. Ожидается, что тактовая частота процессоров, которые будут изготовлены с использованием новой технологии, достигнет 10 ГГц

В 1996 г. корпорация Intel начала финансирование исследований по EUV, а в 1997 г. вместе с компаниями Motorola и AMD организовала консорциум EUV LLC (LimitedLiability Company). Micron Technology и Infineon Technologies присоединились к этому консорциуму в начале 2000 г., а IBM - только в 2001 г. Стремительный прогресс программы EUV LLC привлек к ней интерес индустрии и обеспечил ей широкую поддержку.

Весной этого года представители компьютерной индустрии и правительственных структур США объявили о завершении работ над прототипом первой установки для производства кристаллов с использованием EUV. Над созданием экспериментального тестового стенда (Engineering Test Stand, ETS) совместно работали лаборатории, относящиеся к Министерству энергетики США, и консорциум EUV LLC. Кроме того, три национальные лаборатории - им. Лоуренса в Беркли (Lawrence Berkeley NationalLaboratory), им. Лоуренса в Ливерморе (Lawrence Livermore National Laboratory) и "Сандиа" (Sandia National Laboratories) - объединили свои усилия в работе над EUV в рамках Виртуальной национальной лаборатории (Virtual National Laboratory).

Как уже отмечалось, современные установки для шагового мультиплицирования на пластину с применением глубокого ультрафиолетового излучения (Deep Ultra Violet,DUV) - машины, которые печатают схемы на кремниевых подложках, используют источники света с длиной волны 248 нм. Длина волны EUV-излучения около 13 нм, т. е. примерно в 20 раз меньше. Переход с DUV- на EUV-литографию обеспечивает более чем 10-кратное уменьшение длины волны и переход в диапазон, где она сопоставима с размерами всего нескольких десятков атомов. Применяемая сейчас литографическая технология позволяет наносить шаблон с минимальной шириной проводников 0,1 мкм, в то время как EUV-литография делает возможной печать линий гораздо меньшей ширины - до 0,03 мкм. Управлять ультракоротким излучением не так просто, как кажется. Поскольку EUV-излучение хорошо поглощается стеклом, то новая технология предполагает использование серии из четырех специальных выпуклых зеркал, которые уменьшают и фокусируют изображение, полученное после применения маски. Каждое такое зеркало содержит 80 отдельных металлических слоев толщиной примерно в 12 атомов.

В течение следующего года на стенде ETS будут работать партнеры консорциума EUVLCC и поставщики литографических систем, чтобы усовершенствовать технологию и подготовить ее к созданию прототипа промышленной установки, отвечающей требованию индустрии к крупносерийному производству.

Чтобы сделать EUV-технологию доступной для широкого использования, предстоит еще многое в ней усовершенствовать. В частности, необходимо разработать механизм, способный захватывать невидимый свет, создать платформы для совмещения картинок на различных этапах изготовления микросхемы, систему зеркал и бездефектных масок, а также новые средства контроля и измерения.

EUV-литография - как вид нанолитографии,  множественное экспонирование

EUV-литография - как вид нанолитографии,  множественное экспонирование

Множественное формирование рисунка - Multiple patterning ( множественное экспонирование )

Метод использования для увеличения количества структур, которые могут содержать микрочип
Множественное формирование рисунка (или мульти- паттернирование ) - это схематическая технология для производства интегральных (ИС), эта для фотолитографии для повышения плотности элементов. Ожидается, что это будет необходимо для узловых полупроводниковых процессов 10 и 7 нм и не только. Предположите, что одной литографической экспозиции может быть недостаточно для достаточного разрешения. Следовательно, потребуются дополнительные экспонирования, или же использование шаблона с использованием боковых стенок с вытравленными элементами.

EUV-литография - как вид нанолитографии,  множественное экспонирование
Различные методы создания множественных паттернов. Вверху: разделение объектов на группы (здесь показаны 3), каждая из которых сформирована отдельной маской. По центру: использование распорки для создания дополнительных элементов в зазорах. Внизу: использование противоположной полярности для вырезания (небольшого разрыва) уже использование элементов.
Даже при однократной экспозиции с достаточным разрешением были реализованы дополнительные маски для лучшего качества рисунка, например, Intel для обрезки линий на его 45-нм узле или TSMC на его 28-нм узле. Даже для электронно-лучевой литографии однократная экспозиция оказывается недостаточной при половинном шаге ~ 10 нм, следовательно, требуется двойное формирование рисунка.

Литография с двойным структурированием была введена в 1983 году Д.К. Фландерсом и Н.Н. Ефремов. С тех пор было разработано несколько техник двойного рисунка, таких как двойное самовыравнивающееся формирование рисунка (SADP) и только лито-методика двойного рисунка.

Создание двойного тонального рисунка было предложено впервые Гуртей Сингх Сандху из Micron Technology в течение 2000-х годов, что привело к разработке класса 30-нм NAND flash память. С тех пор множественный образец широко применяемыми производителями флэш-памяти NAND и оперативной памяти во всем мире.

Промышленное внедрение


Развитие множественного рисунка параллельно с появлением литографии EUV. В то время как EUV-литография удовлетворяет разрешающую способность 10-20 нм по действию оптических соображений, возникают стохастические дефекты, а также другие уязвимости и возможности пропускающей способности препятствуют применению ее в настоящее время. Следовательно, ленты 7нм в основном продолжались без EUV. Другими словами, создание множества паттернов не является запретительным, а похоже на неудобство и растущие расходы. 5 нм можно ожидать в 2020 году с учетом эволюции множественных паттернов и состояния EUV, рассматриваемого в то время.

7 нм и 5 нм FinFET
Самовыравнивающееся четырехкратное создание рисунка (SAQP) уже является установленным механизмом, который будет создан для создания рисунка на плавниках для 7 нм и 5 нм FinFET . При использовании SAQP каждый этап формирования рисунка дает значение критической однородности размеров (CDU) в субнанометровом диапазоне (3 сигма). Из четырех производителей логики / литейного производства только Intel применяет SAQP к металлическим слоям по состоянию на 2017 год.

DRAM
Как и NAND Flash, DRAM также регулярно использует множественные шаблоны. Несмотря на то, что активные области образуют двумерный массив, одной маски с достаточнореза для 20 нм. Кроме того, вырезанная маска может познакомить меня с этой фигурой на периферии и таким образом, не будет считаться маской маской. Когда длинный шаг активной области составляет ~ 3,5 раза больше короткого шага, разрывы в активной области образуют гексагональный массив, который поддается описанию треугольной решетчатой ​​разделительной структуры, упомянутой выше. Компания Samsung уже начала производства 18-нм DRAM.

NAND flash
Planar NAND flash имеет несколько слоев, в которых используется шаг SADP 80 нм и SAQP ниже 40 нм.

Флэш-память 3D NAND использовала SADP для некоторых слоев. Хотя он не масштабируется так агрессивно в поперечном направлении, использование стекирования строк в 3D NAND предполагает использование множественного паттерна (стиль лито-травления) для вертикальных каналов.

Обычно для NAND SADP маски формируют набор линий из основной с последующим использованием обрезной маски для удаления концов петли и соединения контактных площадок с третьей маской.

Мультипаттернинг EUV

EUV-литография - как вид нанолитографии,  множественное экспонирование

Сравнение DUV и EUV множественных шаблонов. Ожидается, что EUV с шагом 40 нм потребует множественных шаблонов из-за необходимости поддерживать маленькое расстояние между концами линий без разрушения частей линий между ними. Количество требуемых масок может совпадать с первыми масок DUV, например, для этого целевого шаблона.
Не исключено создание множественных шаблонов EUV, особенно для 5-нм узла. Это связано со спецификацией затяжки от наконечника к наконечнику (T2T), которая представляет собой минимальное расстояние между концами металлических линий. Чтобы обнажить участки линий между ними, не должно быть слишком маленьким.Википедия site:360wiki.ru

Вау!! 😲 Ты еще не читал? Это зря!

Если я не полностью рассказал про euv-литография? Напиши в комментариях Надеюсь, что теперь ты понял что такое euv-литография, нанолитография, множественное экспонирование и для чего все это нужно, а если не понял, или есть замечания, то не стесняйся, пиши или спрашивай в комментариях, с удовольствием отвечу. Для того чтобы глубже понять настоятельно рекомендую изучить всю информацию из категории Конструирование и проектирование электронной аппаратуры

Ответы на вопросы для самопроверки пишите в комментариях, мы проверим, или же задавайте свой вопрос по данной теме.

создано: 2014-09-25
обновлено: 2022-01-09
132617



Рейтиг 9 of 10. count vote: 2
Вы довольны ?:


Найди готовое или заработай

С нашими удобными сервисами без комиссии*

Как это работает? | Узнать цену?

Найти исполнителя
$0 / весь год.
  • У вас есть задание, но нет времени его делать
  • Вы хотите найти профессионала для выплнения задания
  • Возможно примерение функции гаранта на сделку
  • Приорететная поддержка
  • идеально подходит для студентов, у которых нет времени для решения заданий
Готовое решение
$0 / весь год.
  • Вы можите продать(исполнителем) или купить(заказчиком) готовое решение
  • Вам предоставят готовое решение
  • Будет предоставлено в минимальные сроки т.к. задание уже готовое
  • Вы получите базовую гарантию 8 дней
  • Вы можете заработать на материалах
  • подходит как для студентов так и для преподавателей
Я исполнитель
$0 / весь год.
  • Вы профессионал своего дела
  • У вас есть опыт и желание зарабатывать
  • Вы хотите помочь в решении задач или написании работ
  • Возможно примерение функции гаранта на сделку
  • подходит для опытных студентов так и для преподавателей



Комментарии


Оставить комментарий
Если у вас есть какое-либо предложение, идея, благодарность или комментарий, не стесняйтесь писать. Мы очень ценим отзывы и рады услышать ваше мнение.
To reply

Конструирование и проектирование электронной аппаратуры

Термины: Конструирование и проектирование электронной аппаратуры